- -

The Challenge of Detection and Diagnosis of Fugacious Hardware Faults in VLSI Designs

RiuNet: Repositorio Institucional de la Universidad Politécnica de Valencia

Compartir/Enviar a

Citas

Estadísticas

  • Estadisticas de Uso

The Challenge of Detection and Diagnosis of Fugacious Hardware Faults in VLSI Designs

Mostrar el registro sencillo del ítem

Ficheros en el ítem

dc.contributor.author Espinosa García, Jaime es_ES
dc.contributor.author Andrés Martínez, David de es_ES
dc.contributor.author Ruiz, Juan Carlos es_ES
dc.contributor.author Gil, Pedro es_ES
dc.date.accessioned 2016-10-03T13:03:03Z
dc.date.available 2016-10-03T13:03:03Z
dc.date.issued 2013
dc.identifier.isbn 978-3-642-38788-3
dc.identifier.issn 0302-9743
dc.identifier.uri http://hdl.handle.net/10251/70959
dc.description The final publication is available at Springer via http://dx.doi.org/10.1007/978-3-642-38789-0_7 es_ES
dc.description.abstract Current integration scales are increasing the number and types of faults that embedded systems must face. Traditional approaches focus on dealing with those transient and permanent faults that impact the state or output of systems, whereas little research has targeted those faults being logically, electrically or temporally masked -which we have named fugacious. A fast detection and precise diagnosis of faults occurrence, even if the provided service is unaffected, could be of invaluable help to determine, for instance, that systems are currently under the influence of environmental disturbances like radiation, suffering from wear-out, or being affected by an intermittent fault. Upon detection, systems may react to adapt the deployed fault tolerance mechanisms to the diagnosed problem. This paper explores these ideas evaluating challenges and requirements involved, and provides an outline of potential techniques to be applied. es_ES
dc.description.sponsorship This work has been funded by Spanish Ministry of Economy ARENES project (TIN2012-38308-C02-01)
dc.format.extent 12 es_ES
dc.language Inglés es_ES
dc.publisher Springer es_ES
dc.relation.ispartof Dependable Computing es_ES
dc.relation.ispartofseries Lecture Notes in Computer Science;7869
dc.rights Reserva de todos los derechos es_ES
dc.subject Fault detection es_ES
dc.subject Transient faults es_ES
dc.subject Intermittent faults es_ES
dc.subject Permanent faults es_ES
dc.subject Fault diagnosis es_ES
dc.subject VLSI design workflow es_ES
dc.subject.classification INGENIERIA DE SISTEMAS Y AUTOMATICA es_ES
dc.subject.classification ARQUITECTURA Y TECNOLOGIA DE COMPUTADORES es_ES
dc.title The Challenge of Detection and Diagnosis of Fugacious Hardware Faults in VLSI Designs es_ES
dc.type Capítulo de libro es_ES
dc.type Comunicación en congreso es_ES
dc.identifier.doi 10.1007/978-3-642-38789-0_7
dc.relation.projectID info:eu-repo/grantAgreement/MINECO//TIN2012-38308-C02-01/ES/ADAPTIVE AND RESILIENT NETWORKED EMBEDDED SYSTEMS/ es_ES
dc.rights.accessRights Abierto es_ES
dc.contributor.affiliation Universitat Politècnica de València. Escola Tècnica Superior d'Enginyeria Informàtica es_ES
dc.contributor.affiliation Universitat Politècnica de València. Departamento de Ingeniería de Sistemas y Automática - Departament d'Enginyeria de Sistemes i Automàtica es_ES
dc.description.bibliographicCitation Espinosa García, J.; Andrés Martínez, DD.; Ruiz, JC.; Gil, P. (2013). The Challenge of Detection and Diagnosis of Fugacious Hardware Faults in VLSI Designs. En Dependable Computing. Springer. 76-87. https://doi.org/10.1007/978-3-642-38789-0_7 es_ES
dc.description.accrualMethod S es_ES
dc.relation.conferencename 14th European Workshop on Dependable Computing (EWDC 2013) es_ES
dc.relation.conferencedate May 15-16, 2013 es_ES
dc.relation.conferenceplace Coimbra, Portugal es_ES
dc.relation.publisherversion http://link.springer.com/chapter/10.1007/978-3-642-38789-0_7 es_ES
dc.description.upvformatpinicio 76 es_ES
dc.description.upvformatpfin 87 es_ES
dc.type.version info:eu-repo/semantics/publishedVersion es_ES
dc.relation.senia 245720 es_ES
dc.contributor.funder Ministerio de Economía y Competitividad
dc.description.references Narayanan, V., Xie, Y.: Reliability concerns in embedded systems design. IEEE Computer 1(39), 118–120 (2006) es_ES
dc.description.references Hannius, O., Karlsson, J.: Impact of soft errors in a jet engine controller. In: Ortmeier, F., Daniel, P. (eds.) SAFECOMP 2012. LNCS, vol. 7612, pp. 223–234. Springer, Heidelberg (2012) es_ES
dc.description.references Borkar, S.: Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro 25(6), 10–16 (2005) es_ES
dc.description.references JEDEC: Measurement and reporting of alpha particle and terrestrial cosmic ray-induced soft errors in semiconductor devices. JEDEC Standard JESD89A. JEDEC (2006) es_ES
dc.description.references Gracia-Moran, J., Gil-Tomas, D., Saiz-Adalid, L.J., Baraza, J.C., Gil-Vicente, P.J.: Experimental validation of a fault tolerant microcomputer system against intermittent faults. In: DSN, pp. 413–418 (2010) es_ES
dc.description.references Constantinescu, C.: Intermittent faults and effects on reliability of integrated circuits. In: Proceedings of the 2008 Annual Reliability and Maintainability Symposium, pp. 370–374. IEEE Computer Society, Washington, DC (2008) es_ES
dc.description.references Avizienis, A., Laprie, J.C., Randell, B., Landwehr, C.: Basic concepts and taxonomy of dependable and secure computing. IEEE Trans. Dependable Secur. Comput. 1, 11–33 (2004) es_ES
dc.description.references Johnson, C., Holloway, C.: The dangers of failure masking in fault-tolerant software: Aspects of a recent in-flight upset event. In: 2007 2nd Institution of Engineering and Technology International Conference on System Safety, pp. 60–65 (October 2007) es_ES
dc.description.references Bolchini, C., Salice, F., Sciuto, D.: Fault analysis for networks with concurrent error detection. IEEE Des. Test 15(4), 66–74 (1998) es_ES
dc.description.references Goessel, M., Ocheretny, V., Sogomonyan, E., Marienfeld, D.: New Methods of Concurrent Checking (Frontiers in Electronic Testing), 1st edn. Springer Publishing Company, Incorporated (2008) es_ES
dc.description.references Iyer, R.K., Rossetti, D.J.: A statistical load dependency model for cpu errors at slac. In: Twenty-Fifth International Symposium on Fault-Tolerant Computing, ‘Highlights from Twenty-Five Years’, p. 373 (June 1995) es_ES
dc.description.references Dodd, P.E., Shaneyfelt, M.R., Felix, J.A., Schwank, J.R.: Production and propagation of single-event transients in high-speed digital logic ics. IEEE Transactions on Nuclear Science 51, 3278–3284 (2004) es_ES
dc.description.references Nightingale, E.B., Douceur, J.R., Orgovan, V.: Cycles, cells and platters: an empirical analysisof hardware failures on a million consumer pcs. In: Proceedings of the Sixth Conference on Computer Systems, EuroSys 2011, pp. 343–356. ACM, New York (2011) es_ES
dc.description.references Kimseng, K., Hoit, M., Tiwari, N., Pecht, M.: Physics-of-failure assessment of a cruise control module. Microelectronics Reliability 39(10), 1423–1444 (1999) es_ES
dc.description.references Savir, J.: Detection of single intermittent faults in sequential circuits. IEEE Trans. Comput. 29(7), 673–678 (1980) es_ES
dc.description.references Correcher, A., Garcia, E., Morant, F., Quiles, E., Rodriguez, L.: Intermittent failure dynamics characterization. IEEE Transactions on Reliability 61(3), 649–658 (2012) es_ES
dc.description.references Sorensen, B., Kelly, G., Sajecki, A., Sorensen, P.: An analyzer for detecting intermittent faults in electronic devices. In: AUTOTESTCON 1994. IEEE Systems Readiness Technology Conference. ‘Cost Effective Support Into the Next Century’, Conference Proceedings, pp. 417–421 (September 1994) es_ES
dc.description.references Sosnowski, J.: Transient fault tolerance in digital systems. IEEE Micro 14(1), 24–35 (1994) es_ES
dc.description.references Bondavalli, A., Chiaradonna, S., Di Giandomenico, F., Grandoni, F.: Threshold-based mechanisms to discriminate transient from intermittent faults. IEEE Trans. Comput. 49(3), 230–245 (2000) es_ES
dc.description.references Rashid, L., Pattabiraman, K., Gopalakrishnan, S.: Intermittent hardware errors and recovery: modelling and evaluation. In: International Conference on Quantitative Evaluation of Systems, QEST (2012) es_ES
dc.description.references Touba, N.A., McCluskey, E.J.: Logic synthesis of multilevel circuits with concurrent error detection. IEEE Trans. CAD 16(7), 783–789 (1997) es_ES
dc.description.references Nicolaidis, M., Manich, S., Figueras, J.: Achieving fault secureness in parity prediction arithmetic operators: General conditions and implementations. In: Proceedings of the 1996 European conference on Design and Test, EDTC 1996, pp. 186–193. IEEE Computer Society, Washington, DC (1996) es_ES
dc.description.references Ko, S.B., Lo, J.C.: Efficient realization of parity prediction functions in fpgas. J. Electron. Test. 20(5), 489–499 (2004) es_ES
dc.description.references D’Angelo, S., Sechi, G.R., Metra, C.: Transient and permanent fault diagnosis for fpga-based tmr systems. In: Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems, DFT 1999, pp. 330–338. IEEE Computer Society, Washington, DC (1999) es_ES
dc.description.references Kim, C.: Detection and location of intermittent faults by monitoring carrier signal channel behavior of electrical interconnection system. In: Electric Ship Technologies Symposium, ESTS 2009, pp. 449–455. IEEE (April 2009) es_ES


Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem