- -

Accurately modeling the on-chip and off-chip GPU memory subsystem

RiuNet: Repositorio Institucional de la Universidad Politécnica de Valencia

Compartir/Enviar a

Citas

Estadísticas

  • Estadisticas de Uso

Accurately modeling the on-chip and off-chip GPU memory subsystem

Mostrar el registro sencillo del ítem

Ficheros en el ítem

dc.contributor.author Candel-Margaix, Francisco es_ES
dc.contributor.author Petit Martí, Salvador Vicente es_ES
dc.contributor.author Sahuquillo Borrás, Julio es_ES
dc.contributor.author Duato Marín, José Francisco es_ES
dc.date.accessioned 2020-06-11T03:32:19Z
dc.date.available 2020-06-11T03:32:19Z
dc.date.issued 2018-05 es_ES
dc.identifier.issn 0167-739X es_ES
dc.identifier.uri http://hdl.handle.net/10251/145974
dc.description.abstract [EN] Research on GPU architecture is becoming pervasive in both the academia and the industry because these architectures offer much more performance per watt than typical CPU architectures. This is the main reason why massive deployment of GPU multiprocessors is considered one of the most feasible solutions to attain exascale computing capabilities. The memory hierarchy of the GPU is a critical research topic, since its design goals widely differ from those of conventional CPU memory hierarchies. Researchers typically use detailed microarchitectural simulators to explore novel designs to better support GPGPU computing as well as to improve the performance of GPU and CPU-GPU systems. In this context, the memory hierarchy is a critical and continuously evolving subsystem. Unfortunately, the fast evolution of current memory subsystems deteriorates the accuracy of existing state-of-the-art simulators. This paper focuses on accurately modeling the entire (both on-chip and off-chip) GPU memory subsystem. For this purpose, we identify four main memory related components that impact on the overall performance accuracy. Three of them belong to the on-chip memory hierarchy: (i) memory request coalescing mechanisms, (ii) miss status holding registers, and (iii) cache coherence protocol; while the fourth component refers to the memory controller and GDDR memory working activity. To evaluate and quantify our claims, we accurately modeled the aforementioned memory components in an extended version of the state-of-the-art Multi2Sim heterogeneous CPUGPU processor simulator. Experimental results show important deviations, which can vary the final system performance provided by the simulation framework up to a factor of three. The proposed GPU model has been compared and validated against the original framework and the results from a real AMD Southern-Islands 7870HD GPU. (C) 2017 Elsevier B.V. All rights reserved. es_ES
dc.description.sponsorship This work was supported in part by Generalitat Valenciana under grant AICO/2016/059, by the Spanish Ministerio de Economía y Competitividad (MINECO) and Plan E funds under Grant TIN2015-66972-C5-1-R, and by Programa de Ayudas de Investigación y Desarrollo (PAID) de la Universitat Politècnica de València . es_ES
dc.language Inglés es_ES
dc.publisher Elsevier es_ES
dc.relation.ispartof Future Generation Computer Systems es_ES
dc.rights Reserva de todos los derechos es_ES
dc.subject Applied modeling and simulation es_ES
dc.subject On-chip memory subsystem es_ES
dc.subject Main memory controller es_ES
dc.subject GDDR es_ES
dc.subject Cache coherence protocol es_ES
dc.subject.classification ARQUITECTURA Y TECNOLOGIA DE COMPUTADORES es_ES
dc.title Accurately modeling the on-chip and off-chip GPU memory subsystem es_ES
dc.type Artículo es_ES
dc.identifier.doi 10.1016/j.future.2017.02.012 es_ES
dc.relation.projectID info:eu-repo/grantAgreement/GVA//AICO%2F2016%2F059/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/MINECO//TIN2015-66972-C5-1-R/ES/TECNICAS PARA LA MEJORA DE LAS PRESTACIONES, COSTE Y CONSUMO DE ENERGIA DE LOS SERVIDORES/ es_ES
dc.rights.accessRights Abierto es_ES
dc.contributor.affiliation Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors es_ES
dc.description.bibliographicCitation Candel-Margaix, F.; Petit Martí, SV.; Sahuquillo Borrás, J.; Duato Marín, JF. (2018). Accurately modeling the on-chip and off-chip GPU memory subsystem. Future Generation Computer Systems. 82:510-519. https://doi.org/10.1016/j.future.2017.02.012 es_ES
dc.description.accrualMethod S es_ES
dc.relation.publisherversion https://doi.org/10.1016/j.future.2017.02.012 es_ES
dc.description.upvformatpinicio 510 es_ES
dc.description.upvformatpfin 519 es_ES
dc.type.version info:eu-repo/semantics/publishedVersion es_ES
dc.description.volume 82 es_ES
dc.relation.pasarela S\336504 es_ES
dc.contributor.funder Generalitat Valenciana es_ES
dc.contributor.funder Universitat Politècnica de València es_ES
dc.contributor.funder Ministerio de Economía y Competitividad es_ES


Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem