- -

Increasing the effectiveness of directory caches by avoiding the tracking of noncoherent memory blocks

RiuNet: Repositorio Institucional de la Universidad Politécnica de Valencia

Compartir/Enviar a

Citas

Estadísticas

  • Estadisticas de Uso

Increasing the effectiveness of directory caches by avoiding the tracking of noncoherent memory blocks

Mostrar el registro sencillo del ítem

Ficheros en el ítem

dc.contributor.author Cuesta Sáez, Blas Antonio es_ES
dc.contributor.author Ros Bardisa, Alberto es_ES
dc.contributor.author Gómez Requena, María Engracia es_ES
dc.contributor.author Robles Martínez, Antonio es_ES
dc.contributor.author Duato Marín, José Francisco es_ES
dc.date.accessioned 2014-06-13T07:51:09Z
dc.date.issued 2013-03
dc.identifier.issn 0018-9340
dc.identifier.uri http://hdl.handle.net/10251/38109
dc.description © 2013 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works. es_ES
dc.description.abstract A key aspect in the design of efficient multiprocessor systems is the cache coherence protocol. Although directory-based protocols constitute the most scalable approach, the limited size of the directory caches together with the growing size of systems may cause frequent evictions and, consequently, the invalidation of cached blocks, which jeopardizes system performance. Directory caches keep track of every memory block stored in processor caches in order to provide coherent access to the shared memory. However, a significant fraction of the cached memory blocks do not require coherence maintenance (even in parallel applications) because they are either accessed by just one processor or they are never modified. In this paper, we propose to deactivate the coherence protocol for those blocks that do not require coherence. This deactivation means directory caches do not have to keep track of noncoherent blocks, which reduces directory cache occupancy and increases its effectiveness. Since the detection of noncoherent blocks is carried out by the operating system, our proposal only requires minor hardware modifications. Simulation results show that, thanks to our proposal, directory caches can avoid the tracking of about 66 percent (on average) of the blocks accessed by a wide range of applications, thereby improving the efficiency of directory caches. This contributes either to shortening the runtime of parallel applications by 15 percent (on average) while keeping directory cache size or to maintaining performance while using directory caches 16 times smaller. es_ES
dc.description.sponsorship This work was supported by the Spanish MICINN, Consolider Programme and Plan E funds, as well as European Commission FEDER funds, under Grants CSD2006-00046 and TIN2009-14475-C04-01. It was also partly supported by (PROMETEO from Generalitat Valenciana (GVA) under Grant ROMETEO/2008/060). B. Cuesta was with Universitat Politecnica de Valencia while working on this paper. en_EN
dc.format.extent 14 es_ES
dc.language Inglés es_ES
dc.publisher Institute of Electrical and Electronics Engineers (IEEE) es_ES
dc.relation.ispartof IEEE Transactions on Computers es_ES
dc.rights Reserva de todos los derechos es_ES
dc.subject Multiprocessor es_ES
dc.subject Cache coherence es_ES
dc.subject Directory cache es_ES
dc.subject Operating system es_ES
dc.subject Coherence deactivation es_ES
dc.subject Noncoherent blocks es_ES
dc.subject.classification ARQUITECTURA Y TECNOLOGIA DE COMPUTADORES es_ES
dc.title Increasing the effectiveness of directory caches by avoiding the tracking of noncoherent memory blocks es_ES
dc.type Artículo es_ES
dc.embargo.lift 10000-01-01
dc.embargo.terms forever es_ES
dc.identifier.doi 10.1109/TC.2011.241
dc.relation.projectID info:eu-repo/grantAgreement/MICINN//TIN2009-14475-C04-01/ES/Arquitecturas De Servidores, Aplicaciones Y Servicios/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/EC/FP7/287759/EU/High Performance and Embedded Architecture and Compilation/ en_EN
dc.relation.projectID info:eu-repo/grantAgreement/GVA//PROMETEO08%2F2008%2F060/ES/Extensión de la tecnología de red hypertransport para la mejora de la escalabilidad de los servidores de internet/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/MEC//CSD2006-00046/ES/Arquitecturas fiables y de altas prestaciones para centros de proceso de datos y servidores de Internet/ es_ES
dc.rights.accessRights Abierto es_ES
dc.contributor.affiliation Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors es_ES
dc.description.bibliographicCitation Cuesta Sáez, BA.; Ros Bardisa, A.; Gómez Requena, ME.; Robles Martínez, A.; Duato Marín, JF. (2013). Increasing the effectiveness of directory caches by avoiding the tracking of noncoherent memory blocks. IEEE Transactions on Computers. 62(3):482-495. https://doi.org/10.1109/TC.2011.241 es_ES
dc.description.accrualMethod S es_ES
dc.relation.publisherversion http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=6109241 es_ES
dc.description.upvformatpinicio 482 es_ES
dc.description.upvformatpfin 495 es_ES
dc.type.version info:eu-repo/semantics/publishedVersion es_ES
dc.description.volume 62 es_ES
dc.description.issue 3 es_ES
dc.relation.senia 260424
dc.contributor.funder Ministerio de Ciencia e Innovación es_ES
dc.contributor.funder Ministerio de Educación y Ciencia es_ES
dc.contributor.funder Generalitat Valenciana es_ES


Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem