- -

Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors

RiuNet: Repositorio Institucional de la Universidad Politécnica de Valencia

Compartir/Enviar a

Citas

Estadísticas

  • Estadisticas de Uso

Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors

Mostrar el registro sencillo del ítem

Ficheros en el ítem

dc.contributor.author Feliu-Pérez, Josué es_ES
dc.contributor.author Sahuquillo Borrás, Julio es_ES
dc.contributor.author Petit Martí, Salvador Vicente es_ES
dc.contributor.author Eeckhout, Lieven es_ES
dc.date.accessioned 2021-07-07T03:31:10Z
dc.date.available 2021-07-07T03:31:10Z
dc.date.issued 2020-02-01 es_ES
dc.identifier.issn 1045-9219 es_ES
dc.identifier.uri http://hdl.handle.net/10251/168879
dc.description © 2020 IEEE. Personal use of this material is permitted. Permissíon from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertisíng or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works. es_ES
dc.description.abstract [EN] Resource sharing is a critical issue in simultaneous multithreading (SMT) processors as threads running simultaneously on an SMT core compete for shared resources. Symbiotic job scheduling, which co-schedules applications with complementary resource demands, is an effective solution to maximize hardware utilization and improve overall system performance. However, symbiotic job scheduling typically distributes threads evenly among cores, i.e., all cores get assigned the same number of threads, which we find to lead to sub-optimal performance. In this paper, we show that asymmetric schedules (i.e., schedules that assign a different number of threads to each SMT core) can significantly improve performance compared to symmetric schedules. To leverage this finding, we propose thread isolation, a technique that turns symmetric schedules into asymmetric ones yielding higher overall system performance. Thread isolation identifies SMT-adverse applications and schedules them in isolation on a dedicated core to mitigate their sharp performance degradation under SMT. Our experimental results on an IBM POWER8 processor show that thread isolation improves system throughput by up to 5.5 percent compared to a state-of-the-art symmetric symbiotic job scheduler. es_ES
dc.description.sponsorship Josue Feliu has been partially supported through a postdoctoral fellowship by the Generalitat Valenciana (APOSTD/2017/052). Additional support has been provided by the Ministerio de Ciencia, Innovacion y Universidades and the European ERDF under Grant RTI2018-098156-B-C51, as well as, by the Universitat Politenica de Valencia through the "Ayudas a Primeros Proyectos de Investigacion" (PAID-06-18) under grant SP20180140. Lieven Eeckhout's research program is supported through FWO grants no. G.0434.16N and G.0144.17N, and the European Research Council (ERC) Advanced Grant agreement no. 741097. es_ES
dc.language Inglés es_ES
dc.publisher Institute of Electrical and Electronics Engineers es_ES
dc.relation.ispartof IEEE Transactions on Parallel and Distributed Systems es_ES
dc.rights Reserva de todos los derechos es_ES
dc.subject Schedules es_ES
dc.subject Message systems es_ES
dc.subject Symbiosis es_ES
dc.subject Program processors es_ES
dc.subject Degradation es_ES
dc.subject Resource management es_ES
dc.subject Throughput es_ES
dc.subject Simultaneous multithreading (SMT) es_ES
dc.subject Symbiotic job scheduling es_ES
dc.subject Thread isolation es_ES
dc.subject.classification ARQUITECTURA Y TECNOLOGIA DE COMPUTADORES es_ES
dc.title Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors es_ES
dc.type Artículo es_ES
dc.identifier.doi 10.1109/TPDS.2019.2934955 es_ES
dc.relation.projectID info:eu-repo/grantAgreement/EC/H2020/741097/EU/Load Slice Core: A Power and Cost-Efficient Microarchitecture for the Future/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/UPV//SP20180140/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/UPV//PAID-06-18/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/AEI/Plan Estatal de Investigación Científica y Técnica y de Innovación 2017-2020/RTI2018-098156-B-C51/ES/TECNOLOGIAS INNOVADORAS DE PROCESADORES, ACELERADORES Y REDES, PARA CENTROS DE DATOS Y COMPUTACION DE ALTAS PRESTACIONES/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/FWO//G.0434.16N/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/FWO//G.0144.17N/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/GVA//APOSTD%2F2017%2F052/ es_ES
dc.rights.accessRights Abierto es_ES
dc.contributor.affiliation Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors es_ES
dc.description.bibliographicCitation Feliu-Pérez, J.; Sahuquillo Borrás, J.; Petit Martí, SV.; Eeckhout, L. (2020). Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors. IEEE Transactions on Parallel and Distributed Systems. 31(2):359-373. https://doi.org/10.1109/TPDS.2019.2934955 es_ES
dc.description.accrualMethod S es_ES
dc.relation.publisherversion https://doi.org/10.1109/TPDS.2019.2934955 es_ES
dc.description.upvformatpinicio 359 es_ES
dc.description.upvformatpfin 373 es_ES
dc.type.version info:eu-repo/semantics/publishedVersion es_ES
dc.description.volume 31 es_ES
dc.description.issue 2 es_ES
dc.relation.pasarela S\404913 es_ES
dc.contributor.funder European Commission es_ES
dc.contributor.funder Generalitat Valenciana es_ES
dc.contributor.funder Research Foundation Flanders es_ES
dc.contributor.funder Agencia Estatal de Investigación es_ES
dc.contributor.funder European Regional Development Fund es_ES
dc.contributor.funder Universitat Politècnica de València es_ES


Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem