- -

A communication-driven routing technique for application-specific NoCs

RiuNet: Repositorio Institucional de la Universidad Politécnica de Valencia

Compartir/Enviar a

Citas

Estadísticas

  • Estadisticas de Uso

A communication-driven routing technique for application-specific NoCs

Mostrar el registro sencillo del ítem

Ficheros en el ítem

dc.contributor.author Tornero, Rafael es_ES
dc.contributor.author Orduña Huertas, Juan Manuel es_ES
dc.contributor.author Mejia, Andres es_ES
dc.contributor.author Flich Cardo, José es_ES
dc.contributor.author Duato Marín, José Francisco es_ES
dc.date.accessioned 2014-04-17T07:58:13Z
dc.date.issued 2011-06
dc.identifier.issn 0885-7458
dc.identifier.uri http://hdl.handle.net/10251/37055
dc.description The final publication is available at Springer via http://dx.doi.org/10.1007/s10766-010-0159-9 es_ES
dc.description.abstract Networks on Chip (NoCs) have been shown as an efficient solution to the complex on-chip communication problems derived from the increasing number of processor cores. One of the key issues in the design of NoCs is the reduction of both area and power dissipation. As a result, two-dimensional meshes have become the preferred topology, since it offers low and constant link delay. Unfortunately, manufacturing defects or even real-time failures often make the resulting topology to become irregular, preventing the use of traditional routing algorithms. This scenario shows the need for topology-agnostic routing algorithms that provide a valid routing solution when applied over any topology. This paper proposes a new communication-driven routing technique that optimizes the network performance for Application-Specific NoCs. This technique combines a flexible, topology-agnostic routing algorithm with a communication-aware mapping technique that matches the traffic generated by the application with the available network bandwidth. Since the mapping technique can be pruned as needed in order to fit either quality function values or time constraints, this technique can be adapted to fit with different computational costs. The evaluation results show that it significantly improves network performance in terms of both latency and power consumption. es_ES
dc.description.sponsorship This work has been jointly supported by the Spanish MICINN, the European Commission FEDER funds, and the University of Valencia under grants Consolider-Ingenio 2010 CSD2006-00046, TIN2009-14475-C04-04, and V_SEGLES_PIE. en_EN
dc.format.extent 18 es_ES
dc.language Inglés es_ES
dc.publisher Springer Verlag (Germany) es_ES
dc.relation.ispartof International Journal of Parallel Programming es_ES
dc.rights Reserva de todos los derechos es_ES
dc.subject Networks-on-chip es_ES
dc.subject Topology-agnostic routing es_ES
dc.subject Topological mapping es_ES
dc.subject.classification ARQUITECTURA Y TECNOLOGIA DE COMPUTADORES es_ES
dc.title A communication-driven routing technique for application-specific NoCs es_ES
dc.type Artículo es_ES
dc.embargo.lift 10000-01-01
dc.embargo.terms forever es_ES
dc.identifier.doi 10.1007/s10766-010-0159-9
dc.relation.projectID info:eu-repo/grantAgreement/MEC//CSD2006-00046/ES/Arquitecturas fiables y de altas prestaciones para centros de proceso de datos y servidores de Internet/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/EC/FP7/217068/EU/High Performance and Embedded Architecture and Compilation/
dc.relation.projectID info:eu-repo/grantAgreement/MICINN//TIN2009-14475-C04-04/ES/Arquitecturas De Servidores, Aplicaciones Y Servicios./ es_ES
dc.rights.accessRights Cerrado es_ES
dc.contributor.affiliation Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors es_ES
dc.description.bibliographicCitation Tornero, R.; Orduña Huertas, JM.; Mejia, A.; Flich Cardo, J.; Duato Marín, JF. (2011). A communication-driven routing technique for application-specific NoCs. International Journal of Parallel Programming. 39(3):357-374. https://doi.org/10.1007/s10766-010-0159-9 es_ES
dc.description.accrualMethod S es_ES
dc.relation.publisherversion http://link.springer.com/article/10.1007%2Fs10766-010-0159-9 es_ES
dc.description.upvformatpinicio 357 es_ES
dc.description.upvformatpfin 374 es_ES
dc.type.version info:eu-repo/semantics/publishedVersion es_ES
dc.description.volume 39 es_ES
dc.description.issue 3 es_ES
dc.relation.senia 222032
dc.contributor.funder European Commission
dc.contributor.funder Ministerio de Ciencia e Innovación
dc.contributor.funder Ministerio de Educación y Ciencia es_ES
dc.contributor.funder Universitat de València es_ES
dc.description.references Duato, J., Yalamanchili, S., Ni, L.: Interconnection Networks an Engineering Approach. IEEE Computer Society (2003) es_ES
dc.description.references Mak, T.S.T., Sedcole, P., Cheung, P.Y.K., Luk, W., Lam, K.P.: A hybrid analog-digital routing network for noc dynamic routing. In: NOCS ’07: Proceedings of the First International Symposium on Networks-on-Chip, pp. 173–182. IEEE Computer Society, Washington, DC, USA (2007) es_ES
dc.description.references Sancho, J.C., Robles, A., Flich, J., Lopez, P., Duato, J.: Effective methodology for deadlock-free minimal routing in infiniband networks. In: Proceedings of the 2002 International Conference on Parallel Processing. IEEE Computer Society (2002) es_ES
dc.description.references Skeie, T., Lysne, O., Flich, J., Lopez, P., Robles, A., Duato, J.: Lash-tor: A generic transition-oriented routing algorithm. In: Proceedings of IEEE International Conference on Parallel and Distributed Systems. IEEE Computer Society (2004) es_ES
dc.description.references Schroeder M.D., Birrell A.D., Burrows M., Murray H., Needham R.M., Rodeheffer T.L.: Autonet: A high-speed, self-configuring local area network using point-to-point links. IEEE J. Sel. Areas Commun. 9(8), 1318–1335 (1991) es_ES
dc.description.references Sancho, J.C., Robles, A., Duato, J.: A flexible routing scheme for networks of workstations. In: Proceedings of 2000 International Conference on High Performance Computing. IEEE Computer Society (2000) es_ES
dc.description.references Koibuchi, M., Jouraku, A., Watanabe, K., Amano, H.: Descending layers routing: A deadlock-free deterministic routing using virtual channels in system area networks with irregular topologies. In: Proceedings International Conference on Parallel Processing. IEEE Computer Society (2003) es_ES
dc.description.references Mejia, A., Flich, J., Duato, J., Reinemo, S., Skeie, T.: Segment-based routing: An efficient fault-tolerant routing algorithm for meshes and tori. In: International Parallel and Distributed Processing Symposium: 20th IPDPS 2006, Rhodos-Grece (2006) es_ES
dc.description.references Mejia, A., Flich, J., Duato, J.: On the potentials of segment-based routing for nocs. In: ICPP ’08. 37th International Conference on Parallel Processing, 2008, pp. 594–603. (2008) es_ES
dc.description.references Orduña J., Silla F., Duato J.: On the development of a communication-aware task mapping technique. J. Syst. Archit. 50(4), 207–220 (2004) es_ES
dc.description.references Tornero, R., Orduña, J.M., Palesi, M., Duato, J.: A communication-aware topological mapping technique for nocs. In: Euro-Par 2008: Proceedings of the 14th International Euro-Par Conference on Parallel Processing. Lecture Notes on Computer Science, vol. 5168, pp. 910–919. Springer, Berlin, Heidelberg (2008) es_ES
dc.description.references Tornero, R., Orduña, J.M., Mejía, A., Flich, J., Duato, J.: Cart: Communication-aware routing technique for application-specific nocs. In: Fanucci, L. (ed.) 11th EuroMicro Conference on Digital System Design. (2008) es_ES
dc.description.references Ann Gordon-Ross, N.D., Vahid, F.: Fast configurable-cache tuning with a unified second-level cache. In: International Symposium on Low-Power Electronics and Design, pp. 323–326. (2005) es_ES
dc.description.references Ascia G., Catania V., Palesi M.: A multi-objective genetic approach for system-level exploration in parameterized systems-on-a-chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(4), 635–645 (2005) es_ES
dc.description.references Benini L., Macii A., Macii E., Poncino M., Scarsi R.: Architectures and synthesis algorithms for power-efficient bus interfaces. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(9), 969–980 (2000) es_ES
dc.description.references Palesi, M., Holsmark, R., Kumar, S., Catania, V.: A methodology for design of application specific deadlock-free routing algorithms for noc systems. In: CODES+ISSS ’06: Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, pp. 142–147. ACM Press, New York, NY, USA (2006) es_ES
dc.description.references Ascia G., Catania V., Palesi M.: Mapping cores on network–on–chip. Int. J. Comput. Intell. Res. 1(1–2), 109–126 (2005) es_ES
dc.description.references Hu J., Marculescu R.: Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(4), 551–562 (2005) es_ES
dc.description.references Noxim, Network-on-Chip simulator. In: http://noxim.sourceforge.net es_ES


Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem