- -

Enhancing Performance and Energy Consumption of HER Caches by Adding Associativity

RiuNet: Repositorio Institucional de la Universidad Politécnica de Valencia

Compartir/Enviar a

Citas

Estadísticas

  • Estadisticas de Uso

Enhancing Performance and Energy Consumption of HER Caches by Adding Associativity

Mostrar el registro sencillo del ítem

Ficheros en el ítem

dc.contributor.author Lorente Garcés, Vicente Jesús es_ES
dc.contributor.author Valero Bresó, Alejandro es_ES
dc.contributor.author Canal, Ramón es_ES
dc.date.accessioned 2016-11-10T12:33:36Z
dc.date.available 2016-11-10T12:33:36Z
dc.date.issued 2014
dc.identifier.isbn 978-3-642-54419-4
dc.identifier.issn 0302-9743
dc.identifier.uri http://hdl.handle.net/10251/73801
dc.description The final publication is available at Springer via http://dx.doi.org/10.1007/978-3-642-54420-0_45 es_ES
dc.description.abstract Unlike other previous techniques, the recently proposed Hard Error Recovery (HER) fault-tolerant cache provides 100% fault-coverage in L1 data caches. This full coverage makes the HER cache appropiate for fault-dominated future technology nodes. An n-way set-associative HER cache implements one cache way with fast SRAM banks and the remaining ways with eDRAM banks to address power and area. Since the number of eDRAM cache blocks used in a specific HER cache organization depends on the cache associativity (i.e., the implemented number of ways), we expect that the performance and energy consumption provided by a given HER cache design strongly depends on the cache geometry. In this work we study the behavior of the HER cache design when applied to a highly associative L1 cache like those found in some modern microprocessors. In particular this work explores a 32KB 8-way associative L1 data cache such as the one used in Intel Haswell microarchitecture. Experimental results show that, at low-power modes compared to a conventional cache with the same storage capacity and number of ways, area, leakage power, and dynamic energy savings of a 4-way HER cache are by 25%, 85%, and 62%, respectively. These percentages are further improved (by 40%, 89%, and 68%, respectively) when the cache associativity is increased to 8 ways, while the performance loss with respect to both an 8-way conventional cache and the 4-way HER cache is minimal. es_ES
dc.description.sponsorship This work was supponed by Generalitat de Catalunya (200950R1250), by FP7 program of the European Commission (TRAMS-248789), by Spanish Ministerio de Economía y Competitividad (MINECO) and by FEDER funds under Grant TlN2012-38341-C04-01 and TIN2010-18368. es_ES
dc.format.extent 11 es_ES
dc.language Inglés es_ES
dc.publisher Springer es_ES
dc.relation.ispartof Euro-Par 2013: Parallel Processing Workshops es_ES
dc.relation.ispartofseries Lecture Notes in Computer Science;8374
dc.rights Reserva de todos los derechos es_ES
dc.subject.classification ARQUITECTURA Y TECNOLOGIA DE COMPUTADORES es_ES
dc.title Enhancing Performance and Energy Consumption of HER Caches by Adding Associativity es_ES
dc.type Capítulo de libro es_ES
dc.type Comunicación en congreso es_ES
dc.identifier.doi 10.1007/978-3-642-54420-0_45
dc.relation.projectID info:eu-repo/grantAgreement/Generalitat de Catalunya//2009 5GR 1250/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/EC/FP7/248789/EU/TERASCALE RELIABLE ADAPTIVE MEMORY SYSTEMS/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/MINECO//TIN2012-38341-C04-01/ES/MEJORA DE LA ARQUITECTURA DE SERVIDORES, SERVICIOS Y APLICACIONES/ es_ES
dc.relation.projectID info:eu-repo/grantAgreement/MICINN//TIN2010-18368/ES/MICROARQUITECTURA Y COMPILADORES PARA FUTUROS PROCESADORES II/ es_ES
dc.rights.accessRights Abierto es_ES
dc.contributor.affiliation Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors es_ES
dc.contributor.affiliation Universitat Politècnica de València. Escola Tècnica Superior d'Enginyeria Informàtica es_ES
dc.description.bibliographicCitation Lorente Garcés, VJ.; Valero Bresó, A.; Canal, R. (2014). Enhancing Performance and Energy Consumption of HER Caches by Adding Associativity. En Euro-Par 2013: Parallel Processing Workshops. Springer. 454-464. https://doi.org/10.1007/978-3-642-54420-0_45 es_ES
dc.description.accrualMethod S es_ES
dc.relation.conferencename Second International Workshop on On-chip memory hierarchies and interconnects: organization, management and implementation (OMHI2013). in conjunction with Euro-Par 2013 es_ES
dc.relation.conferencedate August 26-27, 2013 es_ES
dc.relation.conferenceplace Aachen, Germany es_ES
dc.relation.publisherversion http://link.springer.com/chapter/10.1007%2F978-3-642-54420-0_45 es_ES
dc.description.upvformatpinicio 454 es_ES
dc.description.upvformatpfin 464 es_ES
dc.type.version info:eu-repo/semantics/publishedVersion es_ES
dc.relation.senia 259217 es_ES
dc.contributor.funder Generalitat de Catalunya es_ES
dc.contributor.funder European Commission es_ES
dc.contributor.funder Ministerio de Economía y Competitividad es_ES
dc.contributor.funder European Regional Development Fund es_ES
dc.contributor.funder Ministerio de Ciencia e Innovación
dc.description.references Bhavnagarwala, A.J., et al.: The Impact of Intrinsic Device Fluctuations on CMOS SRAM Cell Stability. IEEE Journal of Solid-State Circuits 36(4), 658–665 (2001) es_ES
dc.description.references Mukhopadhyay, S., et al.: Modeling of Failure Probability and Statistical Design of SRAM Array for Yield Enhancement in Nanoscaled CMOS. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24(12), 1859–1880 (2005) es_ES
dc.description.references Shirvani, P.P., McCluskey, E.J.: PADded Cache: A New Fault-Tolerance Technique for Cache Memories. In: Proceedings of the 17th IEEE VLSI Test Symposium, pp. 440–445 (1999) es_ES
dc.description.references Wilkerson, C., et al.: Trading off Cache Capacity for Reliability to Enable Low Voltage Operation. In: Proceedings of the 35th Annual International Symposium on Computer Architecture, pp. 203–214 (2008) es_ES
dc.description.references Agarwal, A., et al.: Process Variation in Embedded Memories: Failure Analysis and Variation Aware Architecture. IEEE Journal of Solid-State Circuits 40(9), 1804–1814 (2005) es_ES
dc.description.references Ansari, A., et al.: Archipelago: A Polymorphic Cache Design for Enabling Robust Near-Threshold Operation. In: Proceedings of the 17th International Symposium on High Performance Computer Architecture, pp. 539–550 (2011) es_ES
dc.description.references Nomura, S., et al.: Sampling + DMR: Practical and Low-overhead Permanent Fault Detection. In: Proceedings of the 38th Annual International Symposium on Computer Architecture, pp. 201–212 (2011) es_ES
dc.description.references Sinharoy, B., et al.: IBM POWER7 multicore server processor. IBM Journal of Research and Development 55(3) (2011) es_ES
dc.description.references Lorente, V., et al.: Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes. In: Proceedings of the Design, Automation, and Test in Europe Conference, pp. 83–88 (2013) es_ES
dc.description.references Kanter, D.: Intel’s Haswell CPU Microarchitecture, ”Real World Technologies” (November 13, 2012), http://www.realworldtech.com/haswell-cpu/ es_ES
dc.description.references Paul, S., et al.: Reliability-Driven ECC Allocation for Multiple Bit Error Resilience in Processor Cache. IEEE Transactions on Computers 60(1), 20–34 (2011) es_ES
dc.description.references Alameldeen, A.R., et al.: Adaptive Cache Design to Enable Reliable Low-Voltage Operation. IEEE Transactions on Computers 60, 50–63 (2011) es_ES
dc.description.references Dreslinski, R.G., et al.: Reconfigurable Energy Efficient Near Threshold Cache Architectures. In: Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture, pp. 459–470 (2008) es_ES
dc.description.references Wilkerson, C., et al.: Reducing Cache Power with Low-Cost, Multi-bit Error-Correcting Codes. In: Proceedings of the 37th Annual International Symposium on Computer Architecture, pp. 83–93 (2010) es_ES
dc.description.references Burger, D., Austin, T.M.: The SimpleScalar Tool Set, Version 2.0. ACM SIGARCH Computer Architecture News 25(3), 13–25 (1997) es_ES
dc.description.references Thoziyoor, S., et al.: CACTI 5.1. Hewlett-Packard Laboratories, Palo Alto, Technical Report (2008) es_ES
dc.description.references spec2000: Standard Performance Evaluation Corporation, http://www.spec.org/cpu2000 es_ES
dc.description.references Kulkarni, J.P., et al.: A 160 mV, Fully Differential, Robust Schmitt Trigger Based Sub-threshold SRAM. In: Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 171–176 (2007) es_ES
dc.description.references Keeth, B., et al.: DRAM Circuit Design. Fundamental and High-Speed Topics. John Wiley and Sons, Inc., Hoboken (2008) es_ES
dc.description.references Mueller, W., et al.: Challenges for the DRAM Cell Scaling to 40nm. In: IEEE International Electron Devices Meeting 4, pp. 336–339 (2005) es_ES


Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem