- -

Bandwidth-Aware On-Line Scheduling in SMT Multicores

RiuNet: Repositorio Institucional de la Universidad Politécnica de Valencia

Compartir/Enviar a

Citas

Estadísticas

  • Estadisticas de Uso

Bandwidth-Aware On-Line Scheduling in SMT Multicores

Mostrar el registro sencillo del ítem

Ficheros en el ítem

dc.contributor.author Feliu-Pérez, Josué es_ES
dc.contributor.author Sahuquillo Borrás, Julio es_ES
dc.contributor.author Petit Martí, Salvador Vicente es_ES
dc.contributor.author Duato Marín, José Francisco es_ES
dc.date.accessioned 2017-05-19T10:30:12Z
dc.date.available 2017-05-19T10:30:12Z
dc.date.issued 2016-02
dc.identifier.issn 0018-9340
dc.identifier.uri http://hdl.handle.net/10251/81480
dc.description © 2016 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works. es_ES
dc.description.abstract The memory hierarchy plays a critical role on the performance of current chip multiprocessors. Main memory is shared by all the running processes, which can cause important bandwidth contention. In addition, when the processor implements SMT cores, the L1 bandwidth becomes shared among the threads running on each core. In such a case, bandwidth-aware schedulers emerge as an interesting approach to mitigate the contention. This work investigates the performance degradation that the processes suffer due to memory bandwidth constraints. Experiments show that main memory and L1 bandwidth contention negatively impact the process performance; in both cases, performance degradation can grow up to 40 percent for some of applications. To deal with contention, we devise a scheduling algorithm that consists of two policies guided by the bandwidth consumption gathered at runtime. The process selection policy balances the number of memory requests over the execution time to address main memory bandwidth contention. The process allocation policy tackles L1 bandwidth contention by balancing the L1 accesses among the L1 caches. The proposal is evaluated on a Xeon E5645 platform using a wide set of multiprogrammed workloads, achieving performance benefits up to 6.7 percent with respect to the Linux scheduler. es_ES
dc.description.sponsorship This work was supported by the Spanish Ministerio de Economia y Competitividad (MINECO) and by FEDER funds under Grant TIN2012-38341-C04-01, and by the Intel Early Career Faculty Honor Program Award. en_EN
dc.language Inglés es_ES
dc.publisher Institute of Electrical and Electronics Engineers (IEEE) es_ES
dc.relation.ispartof IEEE Transactions on Computers es_ES
dc.rights Reserva de todos los derechos es_ES
dc.subject Bandwidth-aware scheduling es_ES
dc.subject Process selection es_ES
dc.subject Process allocation es_ES
dc.subject L1-bandwidth es_ES
dc.subject Bandwidth contention es_ES
dc.subject SMT es_ES
dc.subject.classification ARQUITECTURA Y TECNOLOGIA DE COMPUTADORES es_ES
dc.title Bandwidth-Aware On-Line Scheduling in SMT Multicores es_ES
dc.type Artículo es_ES
dc.identifier.doi 10.1109/TC.2015.2428694
dc.relation.projectID info:eu-repo/grantAgreement/MINECO//TIN2012-38341-C04-01/ES/MEJORA DE LA ARQUITECTURA DE SERVIDORES, SERVICIOS Y APLICACIONES/ es_ES
dc.rights.accessRights Abierto es_ES
dc.contributor.affiliation Universitat Politècnica de València. Departamento de Informática de Sistemas y Computadores - Departament d'Informàtica de Sistemes i Computadors es_ES
dc.contributor.affiliation Universitat Politècnica de València. Escola Tècnica Superior d'Enginyeria Informàtica es_ES
dc.description.bibliographicCitation Feliu-Pérez, J.; Sahuquillo Borrás, J.; Petit Martí, SV.; Duato Marín, JF. (2016). Bandwidth-Aware On-Line Scheduling in SMT Multicores. IEEE Transactions on Computers. 65(2):422-434. https://doi.org/10.1109/TC.2015.2428694 es_ES
dc.description.accrualMethod S es_ES
dc.relation.publisherversion http://dx.doi.org/10.1109/TC.2015.2428694 es_ES
dc.description.upvformatpinicio 422 es_ES
dc.description.upvformatpfin 434 es_ES
dc.type.version info:eu-repo/semantics/publishedVersion es_ES
dc.description.volume 65 es_ES
dc.description.issue 2 es_ES
dc.relation.senia 299331 es_ES
dc.contributor.funder Ministerio de Economía y Competitividad es_ES
dc.contributor.funder Intel Corporation es_ES


Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem