- -

Control inteligente mediante escalado dinámico voltaje-frecuencia (DVFS) de la temperatura en procesadores embebidos

RiuNet: Repositorio Institucional de la Universidad Politécnica de Valencia

Compartir/Enviar a

Citas

Estadísticas

  • Estadisticas de Uso

Control inteligente mediante escalado dinámico voltaje-frecuencia (DVFS) de la temperatura en procesadores embebidos

Mostrar el registro completo del ítem

Hernández-Almudi, P.; Suárez, D.; Montijano, E.; Merino, J. (2021). Control inteligente mediante escalado dinámico voltaje-frecuencia (DVFS) de la temperatura en procesadores embebidos. Revista Iberoamericana de Automática e Informática industrial. 18(4):396-406. https://doi.org/10.4995/riai.2021.14200

Por favor, use este identificador para citar o enlazar este ítem: http://hdl.handle.net/10251/173792

Ficheros en el ítem

Metadatos del ítem

Título: Control inteligente mediante escalado dinámico voltaje-frecuencia (DVFS) de la temperatura en procesadores embebidos
Otro titulo: Intelligent control of temperature with dynamic voltage-frequency scaling (DVFS) in embedded processors
Autor: Hernández-Almudi, P. Suárez, D. Montijano, E. Merino, J.
Fecha difusión:
Resumen:
[EN] The increment in computing power of embedded processors has fueled a revolution in many application domains such as mobile computing or robotics. Dissipating the energy consumed by those processors on a very small ...[+]


[ES] El aumento de la capacidad de cálculo de los procesadores embebidos ha generado una revolución en numerosos dominios de aplicación como la computación móvil o la robótica. La disipación del consumo producido por estos ...[+]
Palabras clave: Intelligent control of temperature , Computer architecture , DVFS , Control inteligente de temperatura , Arquitectura de computadores
Derechos de uso: Reconocimiento - No comercial - Compartir igual (by-nc-sa)
Fuente:
Revista Iberoamericana de Automática e Informática industrial. (issn: 1697-7912 ) (eissn: 1697-7920 )
DOI: 10.4995/riai.2021.14200
Editorial:
Universitat Politècnica de València
Versión del editor: https://doi.org/10.4995/riai.2021.14200
Código del Proyecto:
info:eu-repo/grantAgreement/AEI/Plan Estatal de Investigación Científica y Técnica y de Innovación 2017-2020/PID2019-105660RB-C21/ES/JERARQUIA DE MEMORIA, GESTION DE TAREAS Y OPTIMIZACION DE APLICACIONES/
info:eu-repo/grantAgreement/AEI/Plan Estatal de Investigación Científica y Técnica y de Innovación 2017-2020/PGC2018-098817-A-I00/ES/ANALISIS MULTIMODAL DE ESCENAS PARA APLICACIONES DE MONITORIZACION/
Agradecimientos:
Este artículo ha sido financiado parcialmente por los proyectos PGC2018-098817-A-I00 (MCIU/AEI/FEDER, UE), MIG20201006, PID2019-105660RB-C21 ( MINECO/AEI/FEDER), Grupos T58 20R y T45 20R ( Gobierno de Aragón) y FEDER ...[+]
Tipo: Artículo

References

Alastruey,J.,Briz,J.L.,Ibanez,P.,Vinals,V.,2006. Software demand,hardware supply. IEEE Micro 26, 72-82. https://doi.org/10.1109/MM.2006.80

arm, . Workload automation. URL: https://github.com/ARM-software/workload-automation.

Brooks, D., Martonosi, M., 2001. Dynamic thermal management for highperformance microprocessors, in: Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, IEEE. pp. 171- 182. [+]
Alastruey,J.,Briz,J.L.,Ibanez,P.,Vinals,V.,2006. Software demand,hardware supply. IEEE Micro 26, 72-82. https://doi.org/10.1109/MM.2006.80

arm, . Workload automation. URL: https://github.com/ARM-software/workload-automation.

Brooks, D., Martonosi, M., 2001. Dynamic thermal management for highperformance microprocessors, in: Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, IEEE. pp. 171- 182.

Canonical, . Stress-ng. URL: https://wiki.ubuntu.com/Kernel/Reference/stress-ng.

Chen, H., Han, Y., Tang, G., Zhang, X., 2020. A dynamic control system for server processor direct liquid cooling. IEEE Transactions on Components, Packaging and Manufacturing Technology 10, 786 - 794. https://doi.org/10.1109/TCPMT.2020.2986796

Cohen, A., Finkelstein, F., Mendelson, A., Ronen, R., Rudoy, D., 2003. On estimating optimal performance of cpu dynamic thermal management. IEEE Computer Architecture Letters 2, 6-6. https://doi.org/10.1109/L-CA.2003.5

Deng, Q., Meisner, D., Bhattacharjee, A., Wenisch, T.F., Bianchini, R., 2012. Coscale: Coordinating cpu and memory system dvfs in server systems, in: MICRO, pp. 143-154. https://doi.org/10.1109/MICRO.2012.22

Dhodapkar, A.S., Smith, J.E., 2003. Comparing program phase detection techniques, in: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, IEEE Computer Society, Washington, DC, USA. pp. 217-.

Guennebaud, G., Jacob, B., et al., . Eigen v3. URL: http://eigen.tuxfamily.org.

Hamerly, G., Perelman, E., Lau, J., Calder, B., 2005. Simpoint 3.0: Faster and moreflexibleprogramphaseanalysis. Journal of Instruction Level Parallelism 7, 1-28.

Hernandez, P., . spider. URL: ' https://github.com/Pablololo12/sPIDer.

Isci, C., Buyuktosunoglu, A., Cher, C.Y., Bose, P., Martonosi, M., 2006. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget, in: MICRO, pp. 347-358. https://doi.org/10.1109/MICRO.2006.8

Kopytov, A., . Sysbench. URL: https://github.com/akopytov/sysbench.

LABS, P., . Geekbench. URL: https://www.geekbench.com/.

Leva, A., Terraneo, F., Giacomello, I., Fornaciari, W., 2018. Event-based power/ performance-aware thermal management for high-density microprocessors. IEEE Transactions on Control Systems Technology 26, 535-550. https://doi.org/10.1109/TCST.2017.2675841

López, M.G., Ponce, P., Soriano, L.A., Molina, A., Rodriguez, J.J., 2019. Mejora de la vida Útil en los módulos de electrónica de potencia de un bldcm mediante la optimización de un control difuso. Revista Iberoamericana de Automática e Informática industrial 16, 66-78. https://doi.org/10.4995/riai.2018.9078

Madridano, A., Campos, S., Al-Kaff, A., García, F., Martín, D., Escalera, A., 2020. Vehículo aéreo no tripulado para vigilancia y monitorización de incendios. Revista Iberoamericana de Automática e Informática industrial 17, 254-263. https://doi.org/10.4995/riai.2020.11806

Maggio, M., Hoffmann, H., Santambrogio, M.D., Agarwal, A., Leva, A., 2010. Controlling software applications via resource allocation within the heartbeats framework, in: CDC, pp. 3736-3741. https://doi.org/10.1109/CDC.2010.5717893

Mudge, T., 2001. Power: a first-class architectural design constraint. Computer 34, 52-58. doi:10.1109/2.917539. https://doi.org/10.1109/2.917539

Park, J., Lee, S., Cha, H., 2018. App-oriented thermal management of mobile devices, in: ISLPED, pp. 36:1-36:6. https://doi.org/10.1145/3218603.3218622

Pothukuchi, R.P., Ansari, A., Voulgaris, P., Torrellas, J., 2016. Using multiple input, multiple output formal control to maximize resource efficiency in architectures, in: ISCA, pp. 658-670. https://doi.org/10.1145/3007787.3001207

Pothukuchi, R.P., Pothukuchi, S.Y., Voulgaris, P.G., Torrellas, J., 2020. Control systems for computing systems: Making computers efficient with modular, coordinated, and robust control. IEEE Control Systems Magazine 40, 30-55.

Rahmani, A., Haghbayan, M., Kanduri, A., Weldezion, A.Y., Liljeberg, P., Plosila, J., Jantsch, A., Tenhunen, H., 2015. Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach, in: ISLPED, pp. 219-224. https://doi.org/10.1109/ISLPED.2015.7273517

Rahmani, A.M., Donyanavard, B., M¨uck, T., Moazzemi, K., Jantsch, A., Mutlu, O., Dutt, N., 2018. Spectr: Formal supervisory control and coordination for many-core systems resource management, in: ASPLOS, pp. 169-183. https://doi.org/10.1145/3296957.3173199

Rajkumar, R., Lee, I., Sha, L., Stankovic, J., 2010. Cyber-physical systems: The next computing revolution, in: Design Automation Conference, pp. 731-736. https://doi.org/10.1145/1837274.1837461

Stephanopoulos, G., 1984. Chemical process control: an introduction to theory and practice.

Wang, X., 2017. Intelligent Power Allocator. Technical Report. ARM.

Xu, G., 2007. Evaluation of a liquid cooling concept for high power processors, in: Twenty-Third Annual IEEE Semiconductor Thermal Measurement and Management Symposium, pp. 190-195. https://doi.org/10.1109/STHERM.2007.352422

Yueh, W., Wan, Z., Joshi, Y., Mukhopadhyay, S., 2015. Experimental characterization of in-package microfluidic cooling on a system-on-chip, in: 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pp. 43-48. https://doi.org/10.1109/ISLPED.2015.7273488

[-]

recommendations

 

Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro completo del ítem